Skip to content

VHDL#

Server#

For more information about the LSP server, check VHDL Tool.

Installation#

For more instructions on how to install manually, check here.

Debugger: Not available#

Available configurations#

lsp-vhdl-server#

Type: (choice (const :tag VHDL-tool vhdl-tool) (const :tag HDL Checker hdl-checker) (const :tag VHDL LS vhdl-ls) (const :tag GHDL LS ghdl-ls))

Default: vhdl-tool

Select which server to use: VHDL-tool: A syntax checking, type checking and linting tool (http://vhdltool.com).

HDL Checker: A wrapper for third party tools such as GHDL, ModelSim, Vivado Simulator (https://github.com/suoto/hdl_checker).

VHDL LS: A complete VHDL language server protocol implementation with diagnostics, navigate to symbol, find all references etc (https://github.com/VHDL-LS/rust_hdl).

GHDL LS: A LSP server for VHDL based on GHDL (https://github.com/ghdl/ghdl-language-server).


lsp-vhdl-server-path#

Type: file

Default: nil

Path to binary server file.



Last update: April 27, 2024